大佬,只知道系统各模块VHDL的代码,如何用quartus 2vhdl仿真波形步骤出各模块以及总的波形图。各模块代码如何连接?

我要回帖

更多关于 vhdl仿真波形步骤 的文章

 

随机推荐