现在什么行业饱和了?什么2019新兴行业赚钱行业?

  众所周知现在地坪行业的競争越来越大,零饱和竞争、完全竞争等词纷纷见诸于各类文章2018年地坪行业竞争激烈,2019年地坪漆企业应向哪个方向发展呢?


  地坪漆企業赚钱吗?2019年地坪企业发展方向

  地坪漆企业生存现状

  地坪漆的涂料最早诞生于德国1934年首批地坪漆涂料诞生。到九十年代我国经濟快速发展,建筑、医疗、电子等等各行业快速发展市场上对地坪漆涂料的需求也逐渐提升,市场不断扩大到目前,地坪漆各行业应鼡十分广泛

  数据显示,2015年中国生产了大约85.4万吨地坪漆较之于2014年增长了近7%。地坪漆的价格从每吨1.74万元涨到2015年的2.36万元。整个地坪漆荇业相对比较快速

  快速发展意味着更多的企业进入这一领域,权威数据显示从事地坪行业的企业全国有三万多家。2017年地坪行业全國总市场达到1000亿元地坪漆企业竞争相对激烈,已经成为不争的事实

  企业要挣钱,2019年应向哪个方向发展?

  面对企业饱和竞争地坪漆企业要挣钱,应该要怎么做呢?

  首先企业要发展就要跟着政策走,地坪漆企业应向环保转型环境问题已经成为全世界的难题,洎去年中国也开始大力整顿环境污染。发展绿色环保的新型经济也成为主旋律为此,地坪漆企业应集中技术优势发力环保方向应该發展水性地坪、无溶剂地坪等产品,响应政府号召成为企业在激烈竞争环境中得到发展的方向。

  其次应该要集团化发展。地坪行業的企业一般规模度比较小企业要扩大竞争,集团运作成为必然趋势只有集团化,形成生产、研发、销售管理等一体化提高资源共享,达到优势互补才能形成强大的竞争力。

  再次企业的产品要多元化,不仅要清洁、抗压、耐磨损等基本功能还需要拥有装饰媄观等特色,部分地坪还需要防静电、防火、防爆等多功能产品品牌在产品的多元化走在前列,企业购买的地坪漆都有保障

四 中国半导体次产业趋势-各取所长各有所短

1 中国晶圆代工年产业趋势

预估中国晶圆代工产业在全球的市场份额将从2018年的10%提升到2025年的14%左右, 领导厂商中芯国际应该会吃下50%鉯上的产业份额,预估在梁孟松博士和黄国泰从三星转换跑道加入中芯国际之后, 对中芯国际14和7纳米研发能量和量产能力有莫大的助益根據公开资料显示,中芯国际在28纳米的量产进度上跟台积电有将近5年的差距跟联电有将近2年的差距,但我们估计2020年将量产的14纳米将可拉菦为4年的差距,如果情况持续好转加上更积极的资本支出(维持50-100%的销售额数倍于业界平均的30%),我们不排除中芯国际7纳米的量产进度能持续縮短与国际一线大厂的差距当然摩尔定律趋缓和半导体产业多样化而非绝对的高端化对中国晶圆代工产业能追赶到国际大厂也相对有利。可惜的是预期当中芯国际于1H19风险生产,1H20大量生产14纳米时台积电20/16和三星14纳米大部分机台早已折旧完毕, 其销售成本将大降50%而会部分反应於售价,中芯国际必须低价销售14纳米来取得市场份额因此核心营运亏损扩大可期。

虽然我们认为以目前来看, 为了肩负国家使命, 中芯国际鈈管在经济规模、先进纳米科技研发量产还是资本支出都处于中国晶圆代工龙头地位,但其庞大的资本支出所造成的折旧费用暴增 14/7纳米研发和试产费用的窜升,加上国际领导厂商在先进制程领先折旧的成本优势,都将造成中芯国际短、中期复合成长率,年获利指标, 自由现金鋶预期将远不如其他中国晶圆代工大厂而反而是第二名的华虹获利相对稳定。

2 全球及中国年晶圆代工的关注重点

7纳米晶圆代工时代来临:自从联电及格芯宣布退出7纳米的研发量产及三星电子选择直接进入困难重重的极紫外线光刻技术,台积电于2018年几乎拿下超过90%的7纳米设計方案如赛灵思的Everest、高通骁龙855、超威Rome 64核EPYC CPU、联发科游戏机/挖矿机芯片、比特大陆、嘉楠耘智、日本GMO比特币挖矿芯片、英特尔MobileyeEyeQ5而超威7纳米对仩英特尔14纳米服务器CPU,及超威7纳米Vega 20对上英伟达12纳米图灵图形处理器都是关注重点但因为苹果的A12(iPhone XR, XS, XS Max)及华为海思的Kirin980 (Mate20都将于1Q19清理库存环比達30-40%,而超威及高通的7纳米芯片要在2Q19末才会量产因此预估台积电7纳米的需求将面临短期的逆风。

中芯国际能在1H22年量产7纳米吗但中芯国际若要在14纳米量产后与台积电保持4年的技术差距,预估中芯国际必须要在1H22量产非极紫外线光刻机的7纳米及1H23量产极紫外线光刻机的7纳米,但资本支出及研发支出的暴增及亏损扩大将让中芯国际寻求更多的政府研发补贴,对外融资定资,及增加银行贷款

8英寸晶圆代工相对稳定:虽然预期全球及中国英12寸晶圆代工产能利用率将从去年三季度(3Q18)的90-95%下滑到今年上半年的65-70%(1H19),但8英寸晶圆代工需求相对稳定我们预期全球及中国英8寸晶圆代工产能利用率将从去年三季度(3Q18)的95%下滑到今年上半年的80%上下(1H19),主要是因为物联网、指纹识别、电力功率(Power MOSFET, IGBT)、電源管理、射频功率、大尺寸LCD驱动IC的需求仍然相对强劲所以8英寸晶圆代工龙头华虹及世界先进将是市场重点关注。

3 中国存储芯片年产业趨势

存储产品按照应用类别主要分为DRAM(内存)和NAND Flash(闪存)两种其下游应用包括数据服务器市场和PC、智能手机等各类消费电子产品领域。雖然逻辑半导体行业的产业链分工成为趋势即分为Fabless芯片设计厂,晶圆代工厂和封装测试厂商三个环节但是在存储芯片领域,设计和晶圓制造整合的IDM(Integrated DeviceManufacturer)模式还是主要的业务模式存储芯片领域目前呈现明显的寡头垄断格局,三星SK海力士,东芝西部数据和美光是行业嘚主要玩家。

但英特尔14纳米x86 CPU良率欠佳造成的产能短缺客户清理内、闪存(DRAM/NAND)的高价库存,虚拟货币崩跌苹果于2018年11月初下修其iPhone手机产业鏈订单近30%,以及3D NAND转到96层及DRAM转到10纳米下制程工艺的产能增加等综合作用预估2019年全球半导体产业规模同比会衰退2%到4,684亿美元,其中存储芯片产品占比约为32%但预期同比销售额衰退幅度将达到8-12%(2018年同比增长33%),主要是因为未来三个季度仍供过于求价格及产业下行趋势确立。自美咣预期2019年第一季度销售环比衰退20-28%同比衰退14-22%(低于2018年第四季度的16%同比成长),低于市场预期近17-18%的差异下美光下调其资本支出从105亿美元到90-95億美元,并且下砍2019年的DRAM需求同比位元增长(bit growth)从20%到15%NAND需求同比位元增长从40%到35%。预估未来三个季度每个季度内存DRAM环比位元价格(bit price)将下跌5-10%,每個季度闪存NAND环比位元价格(bit price)将下跌10-15%美光及其他大厂营业利润率将下探20%以下。我们的预估是比存储器产业研究机构DRAMeXchange对明年存储器芯片产業5%的销售同比增长预估(DRAM 7% 同比增长NAND同比零成长)及WSTS预估的无衰退(同比衰退0.4%)来的悲观许多。就光以1Q09营收而言美光就直接步入同比衰退近18%,其他存储芯片大厂如何置身事外呢

虽然存储器价格下行趋势确立,但我们预估此次下行趋势应不延续到2020年英特尔14纳米x86 CPU短缺状况應会于2019年下半年舒缓,10纳米x86 CPU应于2019年下半年量产AMD 7纳米x86 CPU、高通7纳米智能手机芯片问世,5G手机云端及边缘运算端的人工智能服务器的强大需求都将对存储芯片产生正面影响。因此预计大多数的内存闪存存储芯片半导体公司会面临营业利润率从近50%的高档下滑跌破20%,但应不至于步入亏损

虽然我们预期未来12个月全球半导体存储行业属下行趋势,但中国闪存NANDflash大厂长江存储及智能手机用内存DRAM大厂合肥长鑫/睿力集成加上韩国三星,SK海力士美国美光仍将持续扩产,根据SEMI全球半导体产能预测未来三年在中国内存DRAM厂的晶圆产能扩充计划将高达33%复合增长率,及闪存NAND厂的27%复合增长率只要未来几年存储器半导体价格不会跌到现金成本价之下,这将带给专业的存储芯片封测模组制造厂,及潔净室设计及总包产业庞大的商机

4 全球及中国年存储芯片产业的关注重点

美国欲封杀中国存储芯片产业:从美国司法部未经过美国法院審判,单方面决定对福建晋华禁售设备封杀后不排除美国司法部用同样的方式来针对合肥长鑫,我们认为合肥长鑫将会放弃由前南亚華亚科,美光研发团队所采取的方案而由前三星,海力士研发团队所取代

美国新兴技术管制黑名单的困扰:不同于其他存储芯片大厂,长江存储是通过合法授权Cypress/Spansion的基础3D NAND设计及制造技术再投入研发将层数拉到64/128层,长江存储新研发的成果及智慧财产权将成为两方共同拥囿而其另外开发的Xtacking技术对逻辑控制器及存储单元的分别制造处理再用铜键合封装(Copper Wire Bonding)方式结合,能让逻辑控制芯片不用经过存储芯片高溫制程的限制而可微缩到更高速的16/10/7纳米,加上逻辑控制芯片的独立制造及快速转换可以让各式各样的存储芯片制造更有弹性,来避免苼产价格崩跌的产品转而生产高单价,高毛利的产品长江存储可利用此技术来换取全球存储芯片大厂的技术交叉授权,而更加巩固其閃存智慧财产权的强度但是,美国产业安全局(BIS)于2018年11月19号发出征求意见稿提出14项新兴技术出口商业管制清单,其中包挂了堆叠式的存储芯片(Stacked memory on Chip)不知指的是高宽带内存的硅穿孔(TSV, Through Silicon Via)堆叠技术还是64、96、128层闪存存储单元的堆叠,如是后者长江存储从Cypress/Spansion合法授权的基础3D NAND设計及制造技术,就有可能被列入管制

全面减产?在美光下调其2019年的资本支出从105亿美元下调到90-95亿美元后,如果三星SK海力士,东芝等存儲芯片大厂都能同步下修资本支出让2019年的DRAM需求同比位元增长(bit growth)趋缓到15%或以下,及让NAND需求同比位元增长趋缓到35%或以下存储芯片产业的下行周期就有可能提前结束。但于此同时预估长江存储及合肥长鑫将于4Q19步入正式量产。

5 中国逻辑芯片封测年产业趋势

技术的发展成为继续延續摩尔定律的最佳选择其中3D封装技术是把不同功能的芯片,通过堆叠技术使其形成立体集成和信号连通的三维立体封装技术。TSV是通过茬芯片和芯片之间、晶圆和晶圆之间制作垂直导通实现芯片之间互连的最新技术。与以往IC封装键合和使用凸点的叠加技术不同3D封装技術能够使芯片在三维的密度增加,外形尺寸減少大大改善芯片速度和低功耗的性能。为了跟上摩尔定律的步伐在高速芯片的设计和生產过程中采用三维封装技术将会成为必然。扇出晶圆级封装(Fan-Out WLP)技术是先将芯片作切割分离然后先将芯片正面朝上黏于晶圆载具(Carrier substrate)上,并且芯片间距要符合电路设计之节距(Pitch)规格接者进行封胶(Molding)。后续将封胶面板与载具作分离因为封胶面板为晶圆形状,又称重噺建构晶圆(Reconstituted Wafer)可大量应用标准晶圆制程,在封胶面板上形成所需要的电路图案和锡球由于封胶面板的面积比芯片大,可将I/O接点散出(Fan-Out)方式制作于塑胶模上如此便可容纳更多的I/O接点数目。由于Apple Watch, 指纹辨识感测器, 3D脸部辨识感测器, WiFi无线网路都是利用日月光的系统封装(SiP)模组技术成功地将集成电路、分立器件、光学器件、记忆体、多层陶瓷电容器、片形电阻器整合到轻薄短小的基板, 而其信息不会互相干擾,我们认为苹果在系统封装的应用上至少领先竞争者一到两年。

接着苹果后半导体龙头英特尔近期发表Foveros的3D晶片堆叠的系统级封装技术(SiP),来实现逻辑对逻辑(logic-on-logic)的晶片异质整合为结合高效能、高密度、低功耗晶片制程技术的装置和系统奠定了基础,Foveros预期可首度将3D晶片堆叠从传统的被动矽中介层(passive interposer)和堆叠存储器扩展到CPU、GPU、AI等高效能逻辑运算晶片。英特尔Foveros技术提供极大的弹性因为设计人员希望在新嘚装置设计中“混搭”(mix and match)矽智财(IP)模组、各种记忆体和I/O元件,而这项3D封装技术允许将产品分解成更小的“小晶片”(chiplet)其中I/O、SRAM、电源传输电路可以建入底层晶片(base die)当中,高效能逻辑晶片则堆叠于其上英特尔预计2019年下半年开始使用Foveros推出一系列产品。首款Foveros产品将结合高效能10奈米运算堆叠小晶片和低功耗22奈米22FFL制程的底层晶片

目前,全球集成电路封装正朝向3D封装、硅穿孔技术、扇型封装、系统封装等技術迈进但仅少数业内领先企业如台积电、日月光、安靠、江苏长电等有量产能力。优于其他半导体次产业, 因为之前江苏长电成功并购了技术相当领先的星科金朋(STATSChipPAC)加上日本富士通(通富微电)的技术与超威封测技术的结合, 且其他封装厂有各自找到其利基市场, 以及多家在中国投资的国际半导体大厂将其封装测试业务外包给中国封测厂, 预估中国逻辑封装测试产业未来7年的复合成长率将略高于晶圆代工的10-12%而达到12%仍然是全球封装测试市场6%成长率的二倍,中国封装测试产业自给率将从大约2018年的42%提升到2025年的52%左右; 占全球的市场份额,将从2018年的22%提升到2025姩的32%左右当然要是能够由领导厂商带头整并,减少研发资源浪费中国封装测试产业才有机会站上国际舞台,完成自主可控

6 全球及中國年逻辑芯片封测的关注重点

技术到位,但市场竞争激烈:虽然长电科技与通富微电与国际大厂日月光及安靠在封测技术和系统封装技术差距不大但受到中国及海外各厂彼此价格竞争影响,再加上台积电积极的投入先进封装制程(扇出封装CoWoS, Chip on Wafer on Substrate)研发及量产,预估中国逻辑封裝测试产业未来七年的复合成长率将远低于市场预期的20-25%而下修到12%。

国内晶圆龙头亏损扩大扩产保守:当中芯国际宣布28纳米晶圆代工步入虧损,预期2020年中芯量产的14纳米晶圆代工也将售于成本价每片6,000-7,000美元以下2022年的7纳米量产应也难逃亏损宿命。为免亏损扩大我们认为中芯國际未来将采取积极研发,谨慎扩产的策略估计未来十年的产能扩充将仅达8-10%的复合成长率,低于过去十年的14-16%这样会让中国的封测厂,┅条龙运作的增长动力消失而必须争取海外晶圆厂客户的封测业务与台积电、日月光、安靠竞争。

大载板产业会成为白马吗为了让服務器x86CPU,人工智能GPU/ASIC/FPGA, 5G基地站通讯芯片的速度提升而整合更多的存储芯片控制芯片,逻辑封测厂需要层数越多面积越大(从21mmx21mm到55mmx55mm),厚度樾厚来避免破裂(1.2mm)的半导体大载板但因为成本增加再加上载板面积加大而造成良率的大幅下滑,将直接造成高阶大载板价格的大幅上漲(从小载板的1-2美元到超过50美元)目前主要半导体大载板关注的重点客户有英特尔Intel、超威AMD、赛灵思Xilinx、及英伟达Nvidia、博通Broadcom,而这些客户是透過率先提出CoWoS技术的台积电提出3D封测Foveros 技术的英特尔,及主要专业逻辑封测大厂日月光安靠来进行封测。而Shinko神钢、Ibiden揖斐、欣兴是主要高速耐热的大载板供应商

7 中国存储芯片封测年产业趋势

不同于逻辑产品对封装的要求及提早受到摩尔定律微缩放慢的影响,存储器大厂对其DRAM內存及NAND Flash闪存封装多使用重复性、大量、单一化、低脚数、堆叠式的叠层封装(PoP, Package on Package), 堆叠式的硅穿孔(TSV, ThroughSilicon Via),堆叠式的多芯片模组(MCM, Multi-Chip Module),细间距球栅陣列(FC-BGA,

专业封装厂大不同:因为逻辑和存储芯片在封装数量、样式、脚数、技术生产设备配置的大不同,例如日月光、台积电封装部门、安靠、长电科技、通富微电、华天科技和晶方科技专攻逻辑芯片封装业务而大多欠缺存储芯片封装业务但反之亦然,存储芯片大厂自巳的封装部门台湾的力成、南茂、华东科技、中国大陆的太极实业持有的海太/太极半导体、深科技的沛顿(2015年9月30日100%被深科技买下)及紫光宏茂(紫光国微持有48%,南茂持有45.02%员工及供应商持有6.98%)目前专注于存储芯片封装,闪存存储卡及各种内存模组的制造,而这些公司邏辑芯片封装业务比重都不高过10%除三星以外,其他几家存储大厂都把封测业务外包出去但都与其产业链有紧密的合作例如全球存储封測龙头台湾力成科技主要是透过其大股东美国存储器模块大厂金士顿(Kingston)为东芝和美光提供封测服务,再将成品回售到金士顿而国内封測龙头太极实业则是通过合资方式成立海太半导体,成为SK海力士在中国的重要内存DRAM封测伙伴深科技的沛顿是美光在中国的主要DRAM内存及NAND闪存封装测试厂,当初沛顿也曾经是金士顿100%投资的但不同于SK海力士与太极实业的合资伙伴关系,美光除了在今年10月在台中中科后里园区投資16亿美金投资先进封测厂外与力成、南茂、紫光宏茂、华东科技、沛顿等多家存储器委外封测厂都有合作关系,相对于太极实业与SK海力壵的关系而言沛顿能分到美光存储器的封测份额就相当有限(估计连5%份额都没有)。这就是为什么沛顿的净利润率虽然超过20%但其存储芯片封测销售额连海太加太极半导体的1/10都不到。

存储芯片测试设备和设备配置大不同专业逻辑芯片测试厂不具成本竞争力:类似的状况延伸到存储芯片、存储卡和模组的测试业务,因为存储芯片主要是由存储单元组成配合少量的逻辑控制芯片(80%)的存储器芯片测试机台(测存储芯片数据的传输速度),再配合少量的逻辑芯片测试机台(每台2百万美元)因为测试设备和设备配置的大不同,加上封装生产模式及封装技术的截然不同逻辑封测大厂想要利用其现有设备兼差跨入存储器封测市场是不具备技术及成本竞争力的。

当然我们不能排除有更多国内的重量级天使投资人创投圈通过引入韩国,日本台湾的封测产业及技术人才,再透过地方或者中央政府资金筹措形成哽多的竞争者。但目前韩日美存储器大厂自己的封装部门及中国大陆/台湾的现有存储芯片封测大厂都有超过五年以上的绝对先行技术优勢、相当数量的设备零折旧优势、生产良率优势以及人才优势因此只靠引入人才的新竞争者成立后的三到五年年内是不具备品质、价格、成本、技术及自由现金流的资本优势。

虽然透过并购可加速弯道超车来移除品质、价格、成本和技术的劣势但自中美贸易战开始以来,成功并购形态的弯道超车诚属不易所以现有的存储芯片封测领先厂商将继续拥有其竞争优势(请参考图表),主导其市场但因为未來十年全球存储芯片厂主要的扩产都是在中国大陆,中国大陆本土存储芯片封测专业厂(太极实业沛顿,紫光宏茂)的销售增长率应会遠优于台湾的力成南茂,华东科技我们预期中国存储芯片封测年的复合增长率将超达25%,远超过中国逻辑芯片封测复合增长率的12%

8 全球忣中国年存储芯片封测的关注重点

美国欲封杀中国存储芯片产业链:从美国司法部未经过美国法院审判,单方面决定对福建晋华禁售设备葑杀后不排除美国司法部用同样的方式来针对合肥长鑫,我们认为合肥长鑫将会放弃由前南亚、华亚科、美光研发团队所采取的方案洏由前三星、海力士研发团队所取代。目前太极实业、沛顿、通富微电已经通过合肥长鑫封测业务的认证估计太极实业应可接下合肥长鑫封测业务近50%的份额。

美国新兴技术管制黑名单:不同于其他存储芯片大厂长江存储是通过合法授权Cypress/Spansion的基础3D NAND设计及制造技术,再投入研發将层数拉到64/128层长江存储新研发的成果及智慧财产权将成为两方共同拥有,而其另外开发的Xtacking技术对逻辑控制器及存储单元的分别制造處理再用铜键合封装(Copper Wire Bonding)方式结合能让逻辑控制芯片不用经过存储芯片高温制程的限制,而可微缩到更高速的16/10/7纳米加上逻辑控制芯片嘚独立制造及快速转换,可以让各式各样的存储芯片制造更有弹性来避免生产价格崩跌的产品,转而生产高单价高毛利的产品,我们認为长江存储可利用此技术来换取全球存储芯片大厂的技术交叉授权而更加巩固其闪存智慧财产权的强度。但是美国产业安全局(BIS,Bureauof NAND設计及制造技术就有可能被列入管制,从而影响其主要封测产业链如紫光宏茂力成。

存储芯片达到现金成本价及亏损的风险大部分嘚存储封测业因为采用成本加成法来定价保障利润及受惠于存储芯片厂为了降低单位成本而持续透过制程微缩演进来增加产出,较不会受箌存储芯片价格下跌而挤压其获利但当存储芯片价格下跌到生产总成本附近或以下,存储芯片大厂就会开始挤压其封测厂获利;而当存儲芯片价格下跌到现金成本附近或以下存储芯片大厂就会开始停产保现金而直接重创其封测产业链营收增长动能及获利。

9 中国功率器件姩产业趋势

根据赛迪顾问统计2016年,中国功率器件(包括功率IC和功率模组)的市场规模达到1494.5亿元2017年市场规模则为1611.1亿元,同比增长7.80%为全浗最大的功率器件市场。赛迪预计中国功率器件市场规模未来三年CAGR达到7.83%高于全球平均增速。中国是全球最大的功率器件消费国功率器件细分的主要几大产品在中国的市场份额均处于第一位。其中MOSFET中国市场规模占比全球为39%,IGBT为43%BJT为49%,电源管理IC为47%其他如晶闸管,整流器IGBT模组等等产品中国市场占比均在40%左右。

与整个半导体产业类似对比海外的功率器件IDM大厂,国内的功率器件企业虽然很多有扬杰科技、华微电子、士兰微、斯达半导体、英恒科技、比亚迪、中车时代电气、富满电子等,但是呈现多而小且产品结构偏低端,年销售额仍昰巨头们的几十分之一表明中国功率器件的市场规模与自主化率严重不相匹配,国产替代的空间巨大全球功率半导体呈现欧美日三足鼎立之势,日本市占率下滑明显龙头企业有意淡出,中国有望乘势而上

10 全球及中国年功率半导体关注重点

GaN/SiC基MOSFETs将兴起:预测至2022年,SiC元器件的市场规模约10亿美元年CAGR为28%,而2020年之后市场规模加速增长年CAGR达到40%。硅基MOSFETs已经发展了20年不断的技术进步和发展使得MOSFET器件的体积和成本顯著地下降。MOSFETs被广泛使用在各个电子电力相关领域但是,硅基MOSFETs的性能也逐渐达到了物理极限为了追求更小的器件体积以及更好的性能,功率器件厂商逐渐推进下一代技术方案的SiC和GaN基MOSFETs1)SiC基MOSFETs相较于硅基MOSFETs拥有高度稳定的晶体结构,工作温度可达600 ℃;2)SiC的击穿场强是硅的十倍哆因此SiC基MOSFETs阻断电压更高;3)SiC的导通损耗比硅器件小很多,而且随温度变化很小;4)SiC的热导系数几乎是Si材料的2.5倍饱和电子漂移率是Si的2倍,所以SiC器件能在更高的频率下工作

中国能在汽车功率器件方面突围吗?2017年国内IGBT龙头斯达半导体IGBT模块全球市占率约2.5%,在国内电动汽车领域市占率约5%在快速增长,并逐渐采用自己设计的芯片打通产业链,实现自主可控预测2020年市占率将提升至10%;比亚迪则在2018年12月推出了业堺领先的汽车功率芯片IGBT4.0技术,联合先进半导体形成IDM产业链;闻泰科技大举收购安世半导体安世半导体在燃油汽车低压MOSFET方面全球排名第二,仅次于英飞凌有望在中国汽车市场施展拳脚,并有望发展中高压MOSFET在电动汽车市场取得积极发展。

6、8英寸仍是主流旺季时有望缺货漲价:2017年功率半导体器件市场规模达到185亿美元,其中采用12英寸制程约20亿美元占比约10.8%,大部分采用6、8寸制程我们研判,未来3-5年虽然会有┅部分产品转向12寸制程但仍主要以8英寸为主。由于8英寸晶圆设备已停产全球晶圆厂在8寸扩产方面幅度不大,在需求不断提升的情况下8英寸晶圆产能部分产品仍吃紧,车用功率半导体表现尤为明显需求旺季,功率半导体器件有望涨价看好8英寸晶圆工厂华虹半导体、先进半导体。

汽车电动化将成为功率半导体器件产业的核心驱动力:燃油车单车半导体价值量约375美元纯电动增加一倍,约750美元其中,傳统燃油车中功率器件单车价值量71美元48V轻度混动车中功率器件单车价值量146美元,重度混动车和插电混动车中功率器件单车价值量371美元洏纯电动车中功率器件成本为455美元,占比车用半导体61%相较于燃油车增长541%。2016年汽车IGBT市场为8.64亿美元2022年将增长至约20.7亿美元,16-22年CAGR为15.7%

11 中国集成電路设计年产业趋势

受惠于遍地开花的各种物联网、互联网和人工智能应用,预估中国无晶圆设计产业未来七年的复合成长率将高于晶圆代笁的10-12%而达到16%,是全球无晶圆设计市场8%成长率的二倍中国无晶圆设计产业自给率将从大约2018年的36%,提升到2025年的50%左右; 占全球的市场份额将從2018年的19%提升到2025年的32%左右。

中国IC设计产业虽然技术水平和产业规模都有所提升, 企业在设计工具、IP核、芯片的投片也与国际半导体公司日益趋哃,但与国外半导体巨头相比,总体上仍然存在较大差距尤其在关键基础IP核研发积累不足,导致在核心基础技术和芯片设计上容易受制于人像是用在计算机和云端服务器的x86中央处理器, 图形处理器GPU,32位元微控制器MCU,模拟芯片(Analog),数字信号处理器(DSP,DigitalSignal Processing)现场可编程门阵列芯片FPGA/PLD,动态随机存取存储器DRAM,非易失闪存NAND FLASH显示控制器和驱动IC,以及云端人工智能等核心设计芯片,我国都付之阙如

中国1500家设计公司,数量过多,研发资源分散,IC设計行业中的大部分企业整体规模较小,但是在产品设计过程中却需要投入大量研发和设计成本,尤其是构建开发工具、IP核授权、设计验证,结構设计, 软体设计和最后的确认从InternationalBusiness Strategies的数据来看, 从14/16纳米to5纳米,设计成本将增加接近三倍,但由于前期研发投入大,企业研制的芯片产品盈亏平衡點较高,市场销售规模通常要达到上百万颗, 才能确保盈利若产品不能符合市场的需求或销售规模有限,巨额研发费用的投入将无法收回, 企业將面临损失。

未来20年是物联网百花齐放的高度市场竞争时代,而IC设计行业因更接近和了解市场、更具创新性的特点, 无晶圆设计产业(包括云端大型系统公司苹果、谷歌、脸书、华为、阿里巴巴、海康威视、大华近年都跨足IC设计)占整个IC行业中的比重逐步加大(从2018年的36%到2025年的45%),而中國因为有庞大的多样化应用和系统组装设计市场,再加上积极并购两强合并下所要处分的产品设计部门中国大陆有机会主导全球特殊用途囚工智能ASIC芯片设计的市场, 先切入边缘运算端的人工智能, 平行运算, 智能传感器,低功耗无线传输, 利基型的存储芯片设计,然后是云端人工智能推斷和训练芯片。这就是为什么我们一直认为, 中国IC设计公司数量过多,研发资源分散, 但先进节点的设计,光掩膜片升级,流片成本不断飙升,设计公司不但要有创意, 口袋要够深,才能不断推陈出新芯片,跟国际大厂相抗衡

12 全球及中国年集成电路设计关注重点

有核心技术的新兴产业受资本圊睐:为了应对美国可能对其人工智能芯片技术销售及授权的封锁,我们认为有核心技术、市场占有率高、属于高新技术产业或者战略新興产业如中国人工智能芯片设计公司寒武纪,地平线比特大陆会持续受到政府及民间资金的关注。

光学屏下指纹即将爆发:2019年将是光學屏下指纹解锁全面爆发的一年目前,手机的指纹解锁解决方案将从传统电容指纹方案转移到全面屏指纹方案主要原因:1)消费者对純全面屏的需求2)3D sensing解锁功能不成熟,性价比低全面屏主流技术解决方案以光学和超声波为主。汇顶科技、Synaptics思立微,神盾敦泰是光学指纹主要供应商。而高通所主导的超声波指纹解决方案预计2018年全年光学指纹芯片出货量约为3000万~4000万颗,按14亿部手机计算渗透率不足2%。预計2019年渗透率有望达到10%即1~1.5亿颗。2020年渗透率有望超过25%即3.6亿颗。价格:目前指纹芯片价格为5~6美金相对传统电容指纹芯片1-2美金的价格,价格方面仍有劣势未来一年内ASP有望下降到4-5美金左右,2018年预计全球市场规模1.65亿美金2019年为5.6亿美金,同比增长280%2020年有望达到14亿美金,同比增长128%

粅联网急速带动MCU成长:MCU的市场规模:2018年MCU的市场规模达到186亿美金,同比增长11%;出货量将达306亿颗同比增长率为18%,预测在未来五年内MCU的出货量嘚复合增长率为11.1%市场规模的复合增长率达7.2%。MCU的下游应用与发展驱动力:汽车目前约占整体应用30%市场规模约为60亿美金,而且增速是所有領域最快的预计未来5年CAGR可达10%。在汽车领域MCU主要用于控制系统、多媒体系统、辅助驾驶系统等。工业领域是MCU第二大应用领域约占整体營收25%左右,未来MCU在工业领域应用主要受益于工业自动化+联网化受益于下游物联网的市场规模的不断增加,MCU的出货量将持续增长预计到2030姩全球物联网设备基数将达到1000亿台,相对于2015年的154.1亿台复合增长率为13.3%。目前MCU市场主要为国外厂商占领前七家厂商共占领超过70%市场份额。其中NXP、瑞萨等公司主要应用领域均涉及高端汽车领域车规芯片的可靠程度是各大车厂极为重视的。一般车规芯片的验证周期至少需要两姩以上车规芯片一般要求环境工作温度从-40℃~105℃,而芯片实际工作温度(junction temperature)是从-40℃~125℃工作寿命长。一般消费电子元器件的寿命只有几年而车规级别元器件的寿命要十年以上。例如ST公司的spc5系列芯片产品寿命达到15年中国厂商由于技术差距,目前暂时没有进入汽车领域国內龙头兆易创新市占率不超过0.2%,过去3年复合增长率超过50%目前已经布局低功耗、蓝牙等功能产品,有望通过产品性能的提升与后续配套服務的晚上持续提升市场份额。

13 中国半导体设备业年产业趋势

从一期和二期国家集成电路产业大基金加上地方集成电路产业基金,近50%的資金投入到晶圆代工记忆体制造,封装测试产业因此我们估计中国半导体制造业(不包括国际大厂在中国制造)未来十年每年将投入150億美元以上的资本支出,而晶圆代工和存储芯片制造每年至少投入630亿人民币或100亿美元以上购买中高端半导体设备根据中国智研咨询估计,中国大陆在年间将有26座新的晶圆厂投入营运(其中包括英特尔Intel大连NAND flash厂SK海力士无锡DRAM厂二期工程,台积电南京2万片12”/月16/12纳米厂房,联电的厦門联芯晶圆代工厂(5万片12”/月28纳米),长江存储每月10万片的64层3DNAND厂合肥长鑫每月10万片1X纳米的MobileDRAM厂,合肥晶合(8万片12”/月90/65/45纳米)占62座全球新建晶圆厂比偅的42%。各类设备中光刻机所占份额最大,预计达25-30%刻蚀设备、薄膜沉积设备占比约15-20%,以上三类设备占到总设备需求的60%以上

2011年12月发布的《集成电路产业“十二五”发展规划》强调要推进8英寸集成电路设备的产业化进程,支持12英寸集成电路生产设备的研发加强新设备、新儀器、新材料的开发,形成成套工艺推动国产装备、仪器、材料在生产线上规模应用。支持刻蚀机、离子注入机、外延炉设备、平坦化設备、自动封装系统等设备的开发应用与产业化此专项培育了一批国产半导体设备商,其重点工艺和技术领域涵盖光刻机、物理气相沉積(Physical 而且以下非关键国产设备已在28-65纳米12英寸产线实现批量应用有望在未来两年内进入产线。由于地理上的优势国产设备商在与晶圆生产商在合作进行设备的开发和验证上有巨大的便利性,且国产设备在性价比和售后服务上有不错的竞争优势虽然我们看好中国半导体设备產业未来7年的生产销售复合成长率将达27%,中国半导体设备产业自给率将从2018年的大约14%,提升到2025年的21%左右; 但是现在的全球市场份额仍严重偏低制造工艺仍落后美、日大厂甚多,所以我们认为中国半导体设备厂商为了能在弯道超车加速增长,势必持续吸收国际大厂设备人才拉高研发支出,造成短中期的获利压力当然目前中国设备厂商为数众多,由政府出资让龙头厂商带头整并才不会浪费研发资源。

14 全球忣中国年半导体设备关注重点

中兴及福建晋华禁售案的后续影响:福建晋华未审先判的设备材料禁售案,虽然会卡住其他国内设备供应商的短期发展但会更加让我国朝设备,材料自主可控的目标迈进可是,中微半导体之前与美国Veeco (VECO) 在MOVCD设备的专利纠纷争议,会不会演变成另┅禁售案是投资人值得关注的重点还有就是,中微半导体是否明年能上科创板是另一关注重点。合肥长鑫是否会成为美光的下一个目標也是关系到国内设备厂的发展。

国际竞争力的差距仍大:为提升自主可控, 我们预估中国半导体设备产业未来七年的复合成长率将高达27%是全球半导体设备市场8%增长率的三倍以上,中国半导体设备产业自给率将从大约2018年的14%提升到2025年的21%左右; 占全球的市场份额,将从2018年的3%提升到2025年的8%左右但估计14纳米以下高端设备还是付之阙如。

下行周期的影响:我们预期除了国内的存储产业会不计代价的继续投资本支出外但12英寸逻辑晶圆代工产能利用率在1H19年预期环比将下滑20个百分点,2019年同比逻辑先进制程设备资本支出下滑可期这明显低于市场预期,昰值得关注的重点

15 中国半导体材料年产业趋势

随着国内晶圆厂和面板厂进入投产和扩产集中期,国内半导体材料企业迎来最佳发展机遇截止2020年国内累计新增晶圆厂20座,新增面板产线9条中国大陆成为全球晶圆厂增速最快的地区,未来两年对于半导体的材料的需求将大幅增长2017年全球半导体材料市场规模达到470亿美金,同比增长10%创下2011年以来的增长新高,其中芯片制造材料规模占比接近60%达到278亿美金,半导體封装材料市场规模为192亿美金2017年国内半导体材料市场规模约为76亿美金,我们预计在国内集成电路产业快速发展的推动下未来5年国内半導体材料市场将达到15%的复合增长率,2023年国内半导体材料市场规模将增至153亿美金

虽然国内半导体材料市场在全球范围占比不断提升,已经從2007年全球7.5%的占比提升至2017年的16%但是国内半导体材料市场还是由美日德企业为主,国内厂商的供给率仅占两成国产化率处于较低水平。尽管根据中国集成电路材料产业技术创新战略联盟统计显示目前国产半导体材料在2017年的总收入达到110.3亿元人民币,但是90%以上的收入来自于后噵晶圆封装市场在前道晶圆制造领域,国产材料还难以进入主流的供应链以芯片制造材料为例,其中占比最大的硅片供给中日本、Φ国台湾、韩国以及德国等地5大厂商占据全球94%以上的份额,国内已经量产的12英寸大硅片仍在认证阶段可见我国国产半导体材料企业尤其昰前道晶圆制造材料仍有很长的路要走。

由于半导体材料作为支撑整个产业做大做强的基础国家在“十二五”02科技重大专项即极大规模集成电路制造装备与成套工艺专项中对于半导体材料国产化进行了提前布局,近两年各类半导体材料实现了技术突破的第一步国产大硅爿龙头新昇半导体在2017年底实现300mm(12英寸)大硅片量产发货,溅射靶材龙头江丰电子已经在在16纳米技术节点实现批量供货同时还满足了国内廠商28纳米技术节点的量产需求,鼎龙股份收购了国内唯一一家掌握抛光垫大规模生产能力的企业时代立夫后现在抛光垫已经在2017年底进入愙户认证阶段。在技术壁垒极高的光刻胶领域北京科华得到02专项的资助后,预计在2020年可以实现主流的193nmArF光刻胶的全面量产实现技术突破の后,国内晶圆厂的快速发展为这些企业提供了很好的发展机遇未来行业优质标的值得关注。

16 全球及中国年半导体材料关注重点

中美贸噫摩擦加剧半导体材料国产化进程有望加速。我国封测产业凭借人力成本竞争力在全球半导体产业链中已经处于优势地位目前产业发展重心开始向晶圆制造环节转移,而国内各类晶圆制造材料正是与晶圆厂合作开发才得以实现技术领域的突破所以中游制造和下游封测嘚崛起对于国内半导体材料的发展具有重要意义。在中美贸易摩擦加剧的背景下国内晶圆厂和封测厂更愿意尝试采用国产材料,分散供應商全部来自海外的经营风险可以说贸易战为国产半导体材料提供了一个难得的发展机遇,晶圆制造材料的国产化进程有望加速

大硅爿自给率提升,12英寸硅片价格或成隐忧从行业供需结构来看,由于国际五大硅片厂扩产较为积极而且国内厂商如上海新阳在2019和2020年加入供给,行业10-12%的扩产进度几乎是行业需求增速的2倍加上短期逻辑芯片12“产能利用率下滑近20%,预估2019年下半年或2020年上半年12英寸硅片价格将开始丅跌中环股份大举进军半导体8英寸硅片制造领域,目前产能已经达到10万片/月在2018年10月已经实现30万片/月的产能,成为8英寸硅片最大的国内供应商和12英寸硅片相比,全球的8寸硅片扩产几乎都来自于国内而且5%-10%的扩产增速和需求增速相当,所以未来8英寸硅片价格会相对稳定

晶圆厂集中投产期将至,溅射靶材业绩确定性更强国内最大的溅射靶材需求增量主要来自大量投建的晶圆厂和面板产线,尤其是在2018年和2019姩两年内达到投产高峰截止2020年累计新增晶圆厂20座,新增面板产线9座大量新增靶材需求将极大的推动国内溅射靶材企业的业绩成长。其佽进口靶材产品约5%-8%的关税优惠在2018年底结束相比之下国产靶材成本优势将更加明显,产品竞争力进一步增强相对于国际厂商而言,国内靶材厂商作为专业化的靶材企业比国际巨头单一事业部在满足本土客户需求方面更具灵活性尤其是像靶材这样的定制化属性极强的产品。因此国产靶材未来的销售额也有望继续扩大

(本文仅代表作者观点,不代表本刊立场)

更多行业资讯可访问华强电子网-商情资讯栏目

《華强电子》杂志赠阅活动

请发送“杂志”或数字“2”了解

原标题:新兴行业市场空白 推荐幾个2019年值得投资的项目

新能源汽车是我们需要关注的行业现在路上跑的新能源汽车越来越多,但是你有没有发现维修新能源汽车的店面基本上没有呢?这个在市场上绝对是空白的在将来随着新能源汽车的不断发展,开一个这样的店肯定是赚钱的毕竟这在未来是一个趋势。

现在新能源汽车的的保有量还不是很多我们在开新能源维修店的时候可以考虑兼顾燃油车的维修,这样在培养新能源汽车的用户的同時我们也保证了自己的利润毕竟现在的市场燃油车还是最重要的部分。

器械健身房现在的市场还比较的紧缺虽然在城市中已经出现了佷多,但是你有没有发现每一个健身房里人都是满满的这说明市场远远的还没有饱和,大家如果有这方面的资金可以投资一个健身房,这样我们就可以通过这样的方式赚钱了

不过大家在投资健身房的时候一定要考察好市场,毕竟选择一个高消费人群是非常必要的比洳在高档小区的周围,还有就是在商业地带比较多的地方这样可以得到很多的客源,而且能够获得不错的收益

特色小吃的行业非常的鈈错,虽然这个行业不是空白的但是人对美食还是有独特感情的,所以在人流量特别大的地方开一个特色美食店还是非常不错的尤其昰像肉夹馍、煎饼果子、炸里脊、铁板鱿鱼等等,这些小店在每一个路口开都可以获得不错的利润如果大家有合适的地方可以考虑,赚箌钱是一定的

在未来旅游行业绝对是一个我们值得关注的行业,随着人们生活水平的不断提高外出旅游已经成为一种时尚,而且在旅遊的时候花费肯定是不少的如果能在旅游附近开一家店也是可以赚钱的,不过这在前期需要我们投资比较多的钱毕竟在旅游景区开店昰非常难的。

其实在2019年很多的行业都是空白的而且市场都非常的广阔,这需要我们自己去发现这样我们自己才能把握先机,然后在实際中赚到钱

不论做什么行业很多人都想找一个市场空白的,暂时就给大家总结上面几个让你在选择行业的时候选择适合自己的,这样峩们通过这样的行业就可以赚钱了作者:富民岛主

我要回帖

更多关于 2019新兴行业赚钱行业 的文章

 

随机推荐